Part Number Hot Search : 
A1526 CM108 80021 RL205 BSS145 SMAXXC C1500 SIEMENS
Product Description
Full Text Search
 

To Download PPXD2005VMM80R Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  freescale semiconductor product brief document number: pxs20pb rev. 1, june 2011 contents ? freescale semiconductor, inc., 2011. all rights reserved. the pxs20 series microcontro llers are system-on-chip devices that are built on power architecture ? technology, are 100% user-mod e compatible with the classic power architecture ? instruction set, contain enhancements that improve the architecture?s fit in embedded applications, incl ude additional instruction support for digital signal proc essing (dsp), and integrate technologies to support hi ghly reliable and safe operation across a range of industrial, medical and transportation safety cri tical applications. these microcontrollers include a ri ch set of peripherals for complex real time control, such as an enhanced timer unit, analog-to-digital convert ers, and multiple serial communications modules. the pxs20 is designed for a pplications requiring a high safety integrity level (sil). all devices in this family are built around a dual core safety platform with an i nnovative safety concept that reduces system cost and effort for the customer to achieve iec61511 or iec61508 certification of their pxs20 product brief 32-bit power architecture ? microcontrollers for highly reliable and safe operation ac ross a range of industrial, medical, and transportation safety critical applications 1 application examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 2 features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 2.1 pxs20 features . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 2.2 block diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 2.3 operating parameters . . . . . . . . . . . . . . . . . . . . . . . 6 2.4 modes of operation . . . . . . . . . . . . . . . . . . . . . . . . . 7 2.5 module features . . . . . . . . . . . . . . . . . . . . . . . . . . . 9 3 developer support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28 4 orderable parts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28 5 revision history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29
pxs20 product brief, rev. 1 application examples freescale semiconductor 2 system. in order to minimi ze software overhead and im prove operational reliability, all major systems such as cpu core, dma controller, interrupt controller, crossbar bus system, memo ry systems, peripheral systems, and memory prot ection unit, include built in redundancy and or robust system monitoring. lock step redundancy checking units are implemented at each output of this sphere of replication (sor). ecc is available for on-chip ram and flash memories. a programmable fault collection and control unit monitors the integrity status of the device and provides flexible safe state control. the host processor core of the px s20 is the latest cpu from the e200 family of compatible power architecture ? cores. the e200z4d 5-stage pipeline dual issue core provides a very hi gh level of efficiency, allowing high performance with minimum power consumption. the peripheral set provides high-end el ectrical motor control capability with very low cpu intervention, thanks to the on-chip cros s triggering unit (ctu). this device incorporates high-performance 90 nm embedded flash-memory technology to provide sub- stantial cost reduction per feature a nd significant perfor mance improvement. 1 application examples the pxs20 can be used for a variety of safety applications such as: ? safety shutdown systems ? solar inverters ? motor drives ? factory automation ? aerospace ? robotics 2features this section describes the f eatures of the pxs20 family.
features pxs20 product brief, rev. 1 freescale semiconductor 3 2.1 pxs20 features table 1 displays the pxs20 feature set. table 1. pxs20 family feature set feature pxs20 cpu type 2 e200z4 (in lock-step or decoupled operation) architecture harvard execution speed 0 ? 120 mhz (+2% fm) dmips intrinsic performance > 240 mips simd (dsp + fpu) yes mmu 16 entry instruction set ppc yes instruction set vle yes instruction cache 4 kb, edc mpu-16 regions yes, replicated module semaphore unit (sema4) yes buses core bus ahb, 32-bit address, 64-bit data internal periphery bus 32-bit address, 32-bit data crossbar master slave ports lock step mode: 4 3 decoupled parallel mode: 6 3 memory code/data flash 1 mb, ecc, rww static ram (sram) 128 kb, ecc modules interrupt controller (intc) 16 interrupt levels, replicated module periodic interrupt timer (pit) 1 4 channels system timer module (stm) 1 4 channels, replicated module software watchdog timer (swt) yes, replicated module edma 16 channels, replicated module flexray 1 64 message buffers, dual channel can 2 32 message buffers uart with dma support 2 clock out yes fault control & collection unit (fccu) yes cross triggering unit (ctu) yes etimer 3 6 channels pwm 2 module 4 (2 + 1) channels analog-to-digital converter (adc) 2 12-bit adc, 16 channels per adc (3 internal, 4 shared and 9 external)
pxs20 product brief, rev. 1 features freescale semiconductor 4 2.2 block diagram figure 1 and figure 2 show the block diagram of the pxs20 microcontrollers. modules (cont.) sine-wave generator (swg) 32 point serial peripheral interface (spi) 3 spi as many as 8 chip selects cyclic redundancy checker (crc) unit yes junction temperature sensor (tsens) yes, replicated module digital i/os ? 16 supply device power supply 3.3 v with integrated bypassable ballast transistor external ballast transistor not needed for bare die analog reference voltage 3.0 v ? 3.6 v and 4.5 v ? 5.5 v clocking frequency-modulated phase-locked loop (fmpll) 2 internal rc oscillator 16 mhz external crystal oscillator 4 ? 40 mhz debug nexus level 3+ packages type 144 lqfp 257 mapbga temperature temperature range (junction) ?40 to 150 c ambient temperature range using external ballast transistor (lqfp) ?40 to 125 c ambient temperature range using external ballast transistor (bga) tbd table 1. pxs20 family feature set (continued) feature pxs20
features pxs20 product brief, rev. 1 freescale semiconductor 5 figure 1. pxs20 block diagram crossbar switch (xbar) memory protection unit (mpu) fpu vle i-cache e200z4 redundancy checker mmu swt ecsm pmu stm intc edma debug jtag nexus flexray? spe2 vle cache e200z4 mmu swt ecsm pmu stm intc edma redundancy checker pbridge 1 mb flash (ecc) crossbar switch (xbar) memory protection unit (mpu) redundancy checker pbridge 128 kb sram (ecc) redundancy checker bam pxs20 block diagram sscm fmpll fmpll ircosc cmu cmu cmu tsens tsens crc pit pit bam xosc siu wkpu adc adc ctu pwm pwm etimer etimer etimer can can uart/lin uart/lin spi spi spi fccu
pxs20 product brief, rev. 1 features freescale semiconductor 6 figure 2. pxs20 block diagram (continued) 2.3 operating parameters the pxs20 operating parameters are listed as follows: ? operating range 0 ? 120 mhz ? ?40 to +105 c ambient temperature ? fabricated in 90 nm low power process ? 1.2 v internal logic ? internal voltage regulator (vreg) with integrated ballast transistor ? single-supply designs offering high in tegration level to the customer ? 3.3 v 10% for digital i/o input supply voltage ? low power design ? dynamic clock gating of core and peripherals ? software controlled clock gating of peripherals ? power consumption less than 400 ma ? selectable current slew rate (slow/medium/fast) ? 3.3 v 10% nexus pin rail. same as digital i/o rail ? unused pins configurable as gpio or gpi for unused a/d channel inputs ? 3.3?5 v 10% for a/d converter reference and analog input pins ? designed with emi reduction techniques ? phase-locked loop (pll) ? system clock with frequency modulation ? on-chip by-pass capacitance ? software selectable current slew rate control ? schmitt trigger on selected inputs ? configurable pins adc ? analog-to-digital converter bam ? boot assist module can ? controller area network controller cmu ? clock monitoring unit crc ? cyclic redundanc y check unit ctu ? cross triggering unit ecc ? error correction code ecsm ? error correction status module edma ? enhanced direct memory access controller fccu ? fault collection and control unit fmpll ? frequency modulated phase locked loop intc ? interrupt controller ircosc ? internal rc oscillator jtag ? joint test action group interface mc ? mode entry, clock, reset, & power pbridge ? peripheral i/o bridge pit ? periodic interrupt timer pmu ? power management unit pwm ? pulse width modulator module rc ? redundancy checker rtc ? real time clock sema4 ? semaphore unit siul ? system integration unit lite spi ? serial peripherals interface controller sscm ? system status and configuration module stm ? system timer module swg ? sine wave generator swt ? software watchdog timer tsens ? temperature sensor uart/lin ? universal asynchronous receiver/transmitter/ local interconnect network wkpu ? wakeup unit xosc ? crystal oscillator
features pxs20 product brief, rev. 1 freescale semiconductor 7 ? selectable pull-up, pull-dow n or no pull on all pins on all siu controlled pins ? selectable open drain ? redundant temperature sensors in separate safety channels ? multiple low/high voltage detector and inhibit units ? high voltage detection and inhibit with off-line test ing capability on 1.2 v only ? low voltage detection and inhibit with off- line testing capability on 1.2 v and 3.3 v supply ? redundant bandgap to duplic ate internal reference ? deep n-well and wide column multiplexing where required to reduce soft error rate (ser) effect for sram ? physical separation of replicated functional bl ocks achieved by layout 2.4 modes of operation pxs20 devices can operate in two modes of operation: ? lock step mode (lsm) ? decoupled parallel mode (dpm) one of the two modes is statically selected at power-up. the selected mode may be changed only going through a full power-on reset. 2.4.1 lock step mode (lsm) lock step mode (lsm) allows reaching the highest sa fety level. it has been defined to allow reaching sil3 with minimum software overhead. the sphere of replication (sor) refe rs to a set of replicated ip mo dules where at the outputs a formal check is performed to ensure that the same operations or transactions are executed on a clock per clock basis (lock step mode of operation). the current concept assumes as prem ise that the most impor tant goal for a functiona l safety sil3-capable device is to detect (or diagnose) faults as they leave the sor. in fact, a fault as long as it remains confined within the sor and therefore will not generate an action visible outside the soc or influence the effective operability of the periphery (and so the ecu), is not to be c onsidered as a dangerous fault. the presence of checkers (rc) at the outputs of the sor for the periphery bus, the flash-memory subsystem and the sram subsystem represents a minimum guarantee that non-common cause faults are detected when the two channels re dundantly are merged into a single actuator or recipient, on the action that is to be performed. 2.4.2 decoupled parallel mode (dpm) in decoupled parallel mode (dpm) , each cpu core and connected cha nnel run independently from the other one and redundancy check ers (rc) are disabled.
pxs20 product brief, rev. 1 features freescale semiconductor 8 the dpm mode increased performances can be esti mated in first approximation as about 1.6 the performance of the lsm mode at the same frequency for shared program flash configuration (up to 2, depending on software). pxs20 devices support only static configur ation at power-on (e ither lsm or dpm). 2.4.3 mode-specific performance parameters ?lsm: ? up to 240 million integer instructi ons per second (dual integer unit) ? up to 240 million floating point instructions per second (fpu) ? up to 480 million multiply and accumu late instructions per second (spe) ?dpm: ? 384?480 million integer/floating point instructions per second ? 768?960 million multiply and accumula te instructions per second 2.4.4 functional safety suitability the pxs20 has been successfully assessed by exida ce rtification (official cert ification issued on nov. 30th 2007) to be fit for purpose to achi eve a safety integrity level 3 (sil 3) as per iec61508-part 2 standard with an overall soc pfh of 0.1 fit in lsm mode. the mode of operation which allows to reach the highest safety level with minimum software requirement is the lock step mode (lsm). sil3 innovative safety concept: ? lockstep mode and fail-safe protection ? sphere of replication (sor) for key component s (such as cpu core, edma, crossbar switch) ? fault collection and control unit (fccu) ? redundancy control and checker unit (rccu) on outputs of the sor connected to fccu ? boot-time built-in self-test for memory (m bist) and logic (lbist) triggered by hardware ? boot-time built-in self-test for adc and flash memory triggered by software ? replicated safety enhanced watchdog ? replicated junction temperature sensor ? non-maskable interrupt (nmi) ? 16-region memory protection unit (mpu) ? clock monitoring units (cmu) ? power management unit (pmu) ? cyclic redundancy check (crc) unit 2.5 module features
features pxs20 product brief, rev. 1 freescale semiconductor 9 2.5.1 high-performance e200z4d core the e200z4d power architecture ? core provides the following features: ? 2 independent execution units, both supporti ng fixed-point and fl oating-point operations ? dual issue 32-bit power architecture ? technology compliant ? 5-stage pipeline (i f, dec, ex1, ex2, wb) ? in-order execution and instruction retirement ? full support for power architecture ? instruction set and variab le length encoding (vle) ? mix of classic 32-bit and 16-bit instruction allowed ? optimization of code size possible ? thirty-two 64-bit genera l purpose registers (gprs) ? harvard bus (32-bit address, 64-bit data) ? i-bus interface capable of one outstanding transaction plus one piped with no wait-on-data return ? d-bus interface capable of two tran sactions outstanding to fill ahb pipe ? i-cache and i-cache controller ? 4 kb, 256-bit cache line (pr ogrammable for 2- or 4-way) ? no data cache ? 16-entry mmu ? 8-entry branch table buffer ? branch look-ahead instruction buffer to accelerate branching ? dedicated branch address calculator ? 3 cycles worst case for missed branch ? load/store unit ? fully pipelined ? single-cycle load latency ? big- and little-endi an modes supported ? misaligned access support ? single stall cycle on load to use ? single-cycle throughput (2-cycle late ncy) integer 32 32 multiplication ? 4 ? 14 cycles integer 32 32 division (average division on various benchm ark of nine cycles) ? single precision fl oating-point unit ? 1 cycle throughput (2-cycle latency) floating-point 32 32 multiplication ? target 9 cycles (worst case acceptable is 12 cycles) throughput floating-point 32 32 division ? special square root and min/max function implemented ? signal processing support: apu-spe 1.1 ? support for vectorized mode: as many as tw o floating-point instructions per clock ? vectored interrupt support
pxs20 product brief, rev. 1 features freescale semiconductor 10 ? reservation instruction to suppor t read-modify-write constructs ? extensive system development and tracing support via nexus debug port 2.5.2 crossbar switch (xbar) the xbar multi-port crossbar switch supports simultaneous connections between four master ports and three slave ports. the crossbar supports a 32-bit address bus widt h and a 64-bit data bus width. the crossbar allows four concurrent transactions to occur from any ma ster port to any slave port, although one of those transfers must be an instruction fetc h from internal flash memo ry. if a slave port is simultaneously requested by more than one master port, arbitr ation logic sel ects the higher priority master and grants it ownership of the slav e port. all other masters requesting th at slave port are stalled until the higher priority master completes its transactions. the crossbar provides the following features: ? 4 masters and 3 slaves supporte d per each replicated crossbar ? masters allocation for each cros sbar: e200z4d core with two i ndependent bus interface units (biu) for i and d access (2 masters), one edma, one flexray ? slaves allocation fo r each crossbar: a redundant flash-memory controller with 2 slave ports to guarantee maximum flexibility to handle instru ction and data array, one redundant sram controller with 1 slave port each and 1 redundant peripheral bus bridge ? 32-bit address bus and 64-bit data bus ? programmable arbitration priority ? requesting masters can be treated with equal priority and are gr anted access to a slave port in round-robin method, based upon the id of the last master to be granted access or a priority order can be assigned by soft ware at application run time ? temporary dynamic priority elevation of masters the xbar is replicated for each processor. 2.5.3 memory protection unit (mpu) the memory protection unit splits the physical memo ry into 16 different regions. each master (edma, flexray, cpu) can be assigned di fferent access rights to each region. ? 16-region mpu with concurrent ch ecks against each master access ? 32-byte granularity for protected address region the memory protection unit is re plicated for each processor. 2.5.4 enhanced direct memory access (edma) the enhanced direct memory access (edma) cont roller is a second-generation module capable of performing complex data movements via 16 programmable channels, w ith minimal intervention from the host processor. the hardware micr oarchitecture includes a dma engi ne which performs source and destination address calculations, and the actual data movement opera tions, along with an sram-based
features pxs20 product brief, rev. 1 freescale semiconductor 11 memory containing the transfer cont rol descriptors (tcd) for the channe ls. this implementation is used to minimize the overall block size. the edma module provides the following features: ? 16 channels supporting 8-, 16-, and 32-bi t value single or block transfers ? support variable sized queues and circular buffered queue ? source and destination address registers independe ntly configured to po st-increment or stay constant ? support major and minor loop offset ? support minor and major loop done signals ? dma task initiated either by hardware requestor or by software ? each dma task can optionally generate an inte rrupt at completion and retirement of the task ? signal to indicate clos ure of last minor loop ? transfer control descriptors mapped inside the sram the edma controller is replicated for each processor. 2.5.5 on-chip flash memory with ecc this device includes programmable, non-volatile fl ash memory. the non-volatile memory (nvm) can be used for instruction storage or data storage, or both. the flash memory module interfaces with the system bus through a dedicated flash memory array controller. it supports a 64-bi t data bus width at the system bus port, and a 128-bit read data interface to flas h memory. the module contai ns four 128-bit prefetch buffers. prefetch buffer hits allow no-wait responses . buffer misses incur a 3 wait state response at 120 mhz. the flash memory module prov ides the following features ? 1 mb of flash memory in unique multi-partitioned hard macro ? sectorization: 16 kb + 2 48 kb + 16 kb + 2 64 kb + 2 128 kb + 2 256 kb ? eeprom emulation (in software) within same module but on different partition ? 16 kb test sector and 16 kb shadow sector for test, censorship device and user option bits ? wait states: ? 3 wait states at 120 mhz ? 2 wait states at 80 mhz ? 1 wait state at 60 mhz ? flash memory line 128-bit wide with 8-bit ecc on 64-bit word (total 144 bits) ? accessed via a 64-bit wide bus for write and a 128-bit wide array for read operations ? 1-bit error correction, 2-bit error detection 2.5.6 on-chip sram with ecc the pxs20 sram provides a gene ral-purpose single port memory.
pxs20 product brief, rev. 1 features freescale semiconductor 12 ecc handling is done on a 32-bit boundary for data and it is extended to the addr ess to have the highest possible diagnostic coverage including the array internal address decoder. the sram module provides the following features: ? system sram: 128 kb ? ecc on 32-bit word (syndrome of 7 bits) ? ecc covers sram bus address ? 1-bit error correction, 2-bit error detection ? wait states: ? 1 wait state at 120 mhz ? 0 wait states at 80 mhz and 60 mhz 2.5.7 platform flash memory controller the following list summari zes the key features of th e flash memory controller: ? single ahb port inte rface supports a 64-bit data bus. all ahb aligned a nd unaligned reads within the 32-bit container are supported. only aligned word writes are supported. ? array interfaces support a 128- bit read data bus and a 64-bit write data bus for each bank. ? code flash (bank0) interface pr ovides configurable read bufferi ng and page prefetch support. ? four page-read buffers (each 128 bits wide) a nd a prefetch controller support speculative reading and optimized flash access. ? single-cycle read respons es (0 ahb data-phase wait states) for hits in the buffers. the buffers implement a least-recently -used replacement algorithm to maximize performance. ? data flash (bank1) interface includ es a 128-bit register to temporar ily hold a single fl ash page. this logic supports single-cycle read responses (0 ahb da ta-phase wait states) fo r accesses that hit in the holding register. ? no prefetch support is provided for this bank. ? programmable response for read-w hile-write sequences including support for stall-while-write, optional stall notification interrupt, optional flas h operation abort , and optional abort notification interrupt. ? separate and independent configur able access timing (on a per bank basis) to support use across a wide range of platforms and frequencies. ? support of address-based read access timing for emulation of other memory types. ? support for reporting of single- and multi-bit error events. ? typical operating configuration loaded in to programming model by system reset. the platform flash controller is replicated for each processor. 2.5.8 platform static ram controller (sramc) the sramc module is the platform sram array c ontroller, with integrated error detection and correction.
features pxs20 product brief, rev. 1 freescale semiconductor 13 the main features of the sramc provide connectivity for the following interfaces: ? xbar slave port (64-bit data path) ? ecsm (ecc error reporting, error injection and configuration) ?sram array the following functions are implemented: ? ecc encoding (32-bit boundary for data and complete address bus) ? ecc decoding (32-bit bounda ry and entire address) ? address translation from the ahb prot ocol on the xbar to the sram array the platform sram controller is replicated for each processor. 2.5.9 memory subsystem access time every memory access the cpu performs requires at least one system cloc k cycle for the data phase of the access. slower memories or periphe rals may require additional data pha se wait states. additional data phase wait states may also occur if the slave being accessed is not park ed on the requesting master in the crossbar. table 2 shows the number of additional data phase wait states required for a range of memory accesses. 2.5.10 error correction status module (ecsm) the ecsm on this device manages th e ecc configuration and reporting for the platform memories (flash memory and sram). it does not im plement the actual ecc calculation. a detected error (double error for flash memory or sram) is also re ported to the fccu. the following er rors and indications are reported into the ecsm dedicated registers: ? ecc error status and configur ation for flash memory and sram ? ecc error reporting for flash memory table 2. platform memory access time summary ahb transfer data phase wait states description e200z4d instruction fetch 0 flash memory prefetch buffer hit (page hit) e200z4d instruction fetch 3 flash memory prefetch buffer miss (based on 4-cycle random flash array access time) e200z4d data read 0?1 sram read e200z4d data write 0 sram 32-bit write e200z4d data write 0 sram 64-bit writ e (executed as 2 x 32-bit writes) e200z4d data write 0?2 sram 8-,16-bit write (read-modify-write for ecc) e200z4d flash memory read 0 flash memory prefetch buffer hit (page hit) e200z4d flash memory read 3 flash memory prefetch buffer miss (at 120 mhz; includes 1 cycle of program flash memory controller arbitration)
pxs20 product brief, rev. 1 features freescale semiconductor 14 ? ecc error reporting for sram ? ecc error injection for sram 2.5.11 peripheral bridge (pbridge) the pbridge implements the following features: ? duplicated periphery ? master access right per peripheral (per mast er: read access enable; write access enable) ? write buffering for peripherals ? checker applied on pbridge output toward periphery ? byte endianess swap capability 2.5.12 interrupt controller (intc) the intc provides priority-based preemptive scheduli ng of interrupt requests, suitable for statically scheduled hard real-time systems. for high-priority interrupt requests, the time from the assertion of the interrupt request from the peripheral to when the processor is executi ng the interrupt service routine (i sr) has been minimized. the intc provides a unique vector for each inte rrupt request source for quick dete rmination of which isr needs to be executed. it also provides an ample number of prior ities so that lower priority isrs do not delay the execution of higher priority isrs. to allow the appropria te priorities for each s ource of interrupt request, the priority of each interrupt re quest is software configurable. the intc supports the priority ceilin g protocol for coherent accesses. by providing a modifiable priority mask, the priority can be raised te mporarily so that all tasks which sh are the resource can not preempt each other. the intc provides the following features: ? duplicated periphery ? unique 9-bit vector per interrupt source ? 16 priority levels with fixed hardware arbitrati on within priority levels for each interrupt source ? priority elevation for shared resource the intc is replicated for each processor. 2.5.13 system clocks and clock generation the following list summarizes the system clock and clock generation on this device: ? lock status continuously monito red by lock detect circuitry ? loss-of-clock (loc) detection fo r reference and feedback clocks ? on-chip loop filter (for improve d electromagnetic interference pe rformance and fewer external components required) ? programmable output clock di vider of system clock ( ? 1, ? 2, ? 4, ? 8)
features pxs20 product brief, rev. 1 freescale semiconductor 15 ? pwm module and as many as three etimer modul es running on an auxiliary clock independent from system clock (wit h max frequency 120 mhz) ? on-chip crystal oscillator with automatic level control ? dedicated internal 16 mhz internal rc oscillator for rapid start-up ? supports automated frequency trimming by hard ware during device startup and by user application ? auxiliary clock domain for motor control pe riphery (pwm, etimer, ctu, adc, and swg) 2.5.14 frequency-modulated phase-locked loop (fmpll) each device has two fmplls. each fmpll allows the user to gene rate high speed system clocks st arting from a minimum reference of 4 mhz input clock. further, the fmpll supports programmable freque ncy modulation of the system clock. the fmpll multiplication fact or, output clock divider ratio are all software configurable. the fmplls have the following major features: ? input frequency: 4?40 mhz continuous ra nge (limited by the crystal oscillator) ? voltage controlled oscillator (vco) range: 256?512 mhz ? frequency modulation via so ftware control to reduce and control emission peaks ? modulation depth 2% if centere d or 0% to ?4% if downshifted via software control register ? modulation frequency: triangular modulation with 25 khz nominal rate ? option to switch modulation on and off via software interface ? reduced frequency divider (rfd) for re duced frequency operation without re-lock ? 3 modes of operation ? bypass mode ? normal fmpll mode with cr ystal reference (default) ? normal fmpll mode with external reference ? lock monitor circuitry with lock status ? loss-of-lock detection for re ference and feedback clocks ? self-clocked mode (scm) operation ? on-chip loop filter ? auxiliary fmpll ? used for flexray due to precise symb ol rate requirement by the protocol ? used for motor control periphery and connected ip (a/d digital inte rface ctu) to allow independent frequencies of operation for pwm and timers and jitter-free control ? option to enable/disable modulation to avoid protocol violation on jit ter and/or potential unadjusted error in elect ric motor control loop ? allows to run motor control periphe ry at different (precisely lowe r, equal or higher as required) frequency than the system to ensure higher resolution
pxs20 product brief, rev. 1 features freescale semiconductor 16 2.5.15 main oscillator the main oscillator provides these features: ? input frequency range 4?40 mhz ? crystal input mode ? external reference clock (3.3 v) input mode ? fmpll reference 2.5.16 internal referenc e clock (rc) oscillator the architecture uses constant curr ent charging of a capacitor. the voltage at the capacitor is compared to the stable bandgap reference voltage. the rc oscillator is the device safe clock. the rc oscillator provides these features: ? nominal frequency 16 mhz ? 5% variation over voltage and temperature after process trim ? clock output of the rc oscillator serves as system clock source in case loss of lock or loss of clock is detected by the fmpll ? rc oscillator is used as the default system cloc k during startup and can be used as back-up input source of fmpll(s) in case xosc fails 2.5.17 clock, reset, power mode, an d test control modules (mc_cgm, mc_rgm, mc_pcu, and mc_me) these modules provide the following: ? clock gating and clock distribution control ? halt, stop mode control ? flexible configurable system and auxiliary clock dividers ? various execution modes ? reset, idle, test, safe ? various run modes with softwa re selectable powered modules ? no stand-by mode implemented (no in ternal switchable power domains) 2.5.18 periodic interrupt timer module (pit) the pit module implements the following features: ? 4 general purpose interrupt timers ? 32-bit counter resolution ? can be used for software tick or dma trigger operation
features pxs20 product brief, rev. 1 freescale semiconductor 17 2.5.19 system timer module (stm) the stm implements the following features: ? up-counter with 4 output compare registers ? os task protection and hardware tick implementation per autosar 1 requirement the stm is replicated for each processor. 2.5.20 software watchdog timer (swt) this module implements the following features: ? fault tolerant output ? safe internal rc oscillator as reference clock ? windowed watchdog ? program flow control monitor with 16-bit pseudorandom key generation ? allows a high level of safety (sil3 monitor) the swt module is replicated for each processor. 2.5.21 fault collection and control unit (fccu) the fccu module has the following features: ? redundant collection of hardware checker results ? redundant collection of error information and latc h of faults from critic al modules on the device ? collection of self-test results ? configurable and graded fault control ? internal reactions (no internal reaction, irq, functional reset, de structive reset, or safe mode entered) ? external reaction (failure is reported to th e external/surrounding syst em via configurable output pins) 2.5.22 system integration unit lite (siul) the siul controls mcu re set configuration, pad configuration, ex ternal interrupt, general purpose i/o (gpio), internal peripheral multiplexing, and syst em reset operation. the re set configuration block contains the external pin boot configuration logic. the pa d configuration block contro ls the static electrical characteristics of i/o pins . the gpio block provides uniform and di screte input/output control of the i/o pins of the mcu. the siu provides the following features: ? centralized pad control on a per-pin basis ? pin function selection 1.open system architecture
pxs20 product brief, rev. 1 features freescale semiconductor 18 ? configurable weak pull-up/down ? configurable slew rate control (slow/medium/fast) ? hysteresis on gpio pins ? configurable automatic safe mode pad control ? input filtering for external interrupts 2.5.23 non-maskable interrupt (nmi) the non-maskable interrupt with de-glitching f ilter supports high-priority core exceptions. 2.5.24 boot assist module (bam) the bam is a block of read-only memory with hard-coded content. the bam program is executed only if serial booting mode is select ed via boot configuration pins. the bam provides the following features: ? enables booting via serial mode (can or uart/lin) ? supports programmable 64-bit password protection for serial boot mode ? supports serial bootloading of either classic powerpc book e c ode (default) or freescale vle code ? automatic switch to serial boot mode if internal flash memory is blank or invalid 2.5.25 system status and configuration module (sscm) the sscm on this device features the following: ? system configuration and status ? debug port status and debug port enable ? multiple boot code starting locati ons out of reset through implemen tation of search for valid reset configuration half word ? sets up the mmu to allow user boot code to execute as either classic powerpc book e code (default) or as freescale vle code out of flash memory ? triggering of device self-tests during reset phase of device boot 2.5.26 controller area network module (can) the can module is a communication controller im plementing the can protocol according to bosch specification version 2.0b. although the can interface was designed to be used primarily as a vehicle networking bus, it is widely used in industrial and other transport appl ications due to its robust operation, time determinism, cost effectiveness, and optional redundant physical layer implementation. the can module provides the following features: ? full implementation of the can pr otocol specification, version 2.0b ? standard data and remote frames
features pxs20 product brief, rev. 1 freescale semiconductor 19 ? extended data and remote frames ? 0 to 8 bytes data length ? programmable bit rate as fast as 1mbit/s ? 32 message buffers of 0 to 8 bytes data length ? each message buffer configurable as receive or transmit buffer, all supporting standard and extended messages ? programmable loop-back mode supporting self-test operation ? 3 programmable mask registers ? programmable transmit-first scheme: lowest id or lowest buffer number ? time stamp based on 16- bit free-running timer ? global network time, synchr onized by a specific message ? maskable interrupts ? independent of the transm ission medium (an external transceiver is assumed) ? high immunity to emi ? short latency time due to an arbitration scheme for high-priority messages ? transmit features ? supports configuration of multiple mailboxes to form message queues of scalable depth ? arbitration scheme according to me ssage id or message buffer number ? internal arbitration to guarantee no inner or outer priority inversion ? transmit abort proce dure and notification ? receive features ? individual programmable filters for each mailbox ? 8 mailboxes configurable as a 6-entry receive fifo ? 8 programmable acceptance filters for receive fifo ? programmable clock source ? system clock ? direct oscillator clock to avoid fmpll jitter 2.5.27 flexray the flexray module provides the following features: ? full implementation of flexray protocol specification 2.1 rev. a ? 64 configurable message buffers can be handled ? dual channel or single channel mode of ope ration, each as fast as 10 mbit/s data rate ? message buffers configurable as transmit or receive ? message buffer size configurable ? message filtering for all messa ge buffers based on frame id , cycle count, and message id ? programmable acceptance filters for receive fifo
pxs20 product brief, rev. 1 features freescale semiconductor 20 ? message buffer header, status, and payloa d data stored in system memory (sram) ? internal flexray memories have error detection and correction 2.5.28 serial communicatio n interface module (uart) the uart module with dma support on this device features the following: ? uart features: ? full-duplex operation ? standard non return-to-zero (nrz) mark/space format ? data buffers with 4-byte receive, 4-byte transmit ? configurable word length (8-bit or 9-bit words) ? error detection and flagging ? parity, noise and framing errors ? interrupt driven operation with 4 interrupts sources ? separate transmitter and r eceiver cpu interrupt sources ? 16-bit programmable baud-rate modul us counter and 16-bit fractional ? 2 receiver wake-up methods ? lin features: ? autonomous lin frame handling ? message buffer to store identi fier and up to eight data bytes ? supports message length of up to 64 bytes ? detection and flagging of lin errors ? sync field; delimiter; id parity; bit, framing; checksum and timeout errors ? classic or extended checksum calculation ? configurable break duration of up to 36-bit times ? programmable baud rate prescalers (13-bit mantissa, 4-bit fractional) ? diagnostic features ? loop back ?self test ? lin bus stuck dominant detection ? interrupt driven operation with 16 interrupt sources ? lin slave mode features ? autonomous lin header handling ? autonomous lin response handling ? discarding of irrelevant lin resp onses using up to 16 id filters
features pxs20 product brief, rev. 1 freescale semiconductor 21 2.5.29 serial peripheral interface (spi) the spi modules provide a synchronous serial in terface for communication between the pxs20 and external devices. a spi module provides these features: ? full duplex, synchronous transfers ? master or slave operation ? programmable master bit rates ? programmable clock polarity and phase ? end-of-transmission interrupt flag ? programmable transfer baud rate ? programmable data fram es from 4 to 16 bits ? as many as 8 chip select lines availabl e, depending on package and pin multiplexing ? 4 clock and transfer attributes registers ? chip select strobe available as alternate functi on on one of the chip select pins for de-glitching ? fifos for buffering as many as 5 tran sfers on the transmit and receive side ? queueing operation possible through use of the edma ? general purpose i/o functionality on pins when not used for spi 2.5.30 pulse width modulator (pwm) the pwm module contains four pwm channels, each of which is configur ed to control a single half-bridge power stage. two modules are include d on 257 mapbga devices ; on the 144 lqfp package, only one module is present. additi onally, four fault input channe ls are provided per pwm module. this pwm is capable of controll ing most motor types, including: ? ac induction motors (acim) ? permanent magnet ac motors (pmac) ? brushless (bldc) and brush dc motors (bdc) ? switched (srm) and variable reluctance motors (vrm) ? stepper motors a pwm module implements the following features: ? 16 bits of resolution for center, edge aligned, and asymmetrical pwms ? maximum operating frequency as high as 120 mhz ? clock source not modulated and independent from system clock (generated via secondary fmpll) ? fine granularity control for enha nced resolution of the pwm period ? pwm outputs can operate as compleme ntary pairs or independent channels ? ability to accept signed numbers for pwm generation
pxs20 product brief, rev. 1 features freescale semiconductor 22 ? independent control of both edges of each pwm output ? synchronization to external hard ware or other pwm supported ? double buffered pwm registers ? integral reload rates from 1 to 16 ? half cycle reload capability ? multiple adc trigger events can be generated per pwm cycle via hardware ? fault inputs can be assigned to control multiple pwm outputs ? programmable filters for fault inputs ? independently programmable pwm output polarity ? independent top and botto m deadtime insertion ? each complementary pair can operate with its own pwm frequency and deadtime values ? individual software control for each pwm output ? all outputs can be forced to a value simultaneously ? pwmx pin can optionally output a third signal from each channel ? channels not used for pwm generation can be used for buffered output compare functions ? channels not used for pwm generation ca n be used for input capture functions ? enhanced dual edge capture functionality ? option to supply the source for each complement ary pwm signal pair from any of the following: ? external digital pin ? internal timer channel ? external adc input, taking into account valu es set in adc high- a nd low-limit registers ? dma support 2.5.31 etimer module the pxs20 provides three etimer m odules on the 257 mapbga device, and two etimer modules on the 144 lqfp package. six 16-bit general purpose up/down ti mer/counters per module are implemented with the following features: ? maximum clock frequency of 120 mhz ? individual channel capability ? input capture trigger ? output compare ? double buffer (to capture rising edge and falling edge) ? separate prescaler for each counter ? selectable clock source ? 0?100% pulse measurement ? rotation direction flag (quad decoder mode) ? maximum count rate
features pxs20 product brief, rev. 1 freescale semiconductor 23 ? equals peripheral clock divided by 2 for external event counting ? equals peripheral clock for internal clock counting ? cascadeable counters ? programmable count modulo ? quadrature dec ode capabilities ? counters can share available input pins ? count once or repeatedly ? preloadable counters ? pins available as gpio when timer functionality not in use ? dma support 2.5.32 sine wave generator (swg) a digital-to-analog converter is avai lable to generate a sine wave base d on 32 stored values for external devices (ex: resolver). ? frequency range from 1 khz to 50 khz ? sine wave amplitude from 0.47 v to 2.26 v 2.5.33 analog-to-digital converter module (adc) the adc module features include: analog part: ? 2 on-chip adcs ? 12-bit resolution sar architecture ? a/d channels: 9 external, 3 internal and 4 shared with other a/d (total 16 channels) ? one channel dedicated to each t-sensor to enable temperature reading during application ? separated reference for each adc ? shared analog supply voltage for both adcs ? one sample and hold unit per adc ? adjustable sampling and conversion time digital part: ? 4 analog watchdogs comparing adc results against predefined le vels (low, high, range) before results are stored in the appropriate adc result location ? 2 modes of operation: motor control mode or regular mode ? regular mode features ? register based interface with the cp u: one result register per channel ? adc state machine managing three request fl ows: regular command, hardware injected command, software injected command ? selectable priority between softwa re and hardware injected commands
pxs20 product brief, rev. 1 features freescale semiconductor 24 ? 4 analog watchdogs comparing adc results against predefin ed levels (low, high, range) ? dma compatible interface ? motor control mode features ? triggered mode only ? 4 independent result queues (1 ? 16 entries, 2 ? 8 entries, 1 ? 4 entries) ? result alignment circuitry (lef t justified; right justified) ? 32-bit read mode allows to have channel id on one of the 16-bit parts ? dma compatible interfaces ? built-in self-test featur es triggered by software 2.5.34 junction temperature sensor the junction temperature sensor provides a value via an adc channel that can be used by software to calculate the device junction temperature. the key parameters of the junc tion temperature sensor include: ? nominal temperature range from ?40 to 150 c ? software temperature alarm via analog adc comparator possible 2.5.35 cross triggering unit (ctu) the adc cross triggering unit allows automatic generation of adc conv ersion requests on user selected conditions without cpu load du ring the pwm period and with mi nimized cpu load for dynamic configuration. the ctu implements the following features: ? cross triggering between adc, pw m, etimer, and external pins ? double buffered trigger generation unit with as many as 8 indepe ndent triggers generated from external triggers ? maximum operating frequency less than or equal to 120 mhz ? trigger generation unit configurable in sequential mode or in triggered mode ? trigger delay unit to compensate the delay of external low pass filter ? double buffered global trigger unit allowing et imer synchronization and/or adc command generation ? double buffered adc command list pointe rs to minimize adc-trigger unit update ? double buffered adc conversion command li st with as many as 24 adc commands ? each trigger capable of generating consecutive commands ? adc conversion command allows control of adc channel from ea ch adc, single or synchronous sampling, independent result queue selection ? dma support with safety features
features pxs20 product brief, rev. 1 freescale semiconductor 25 2.5.36 cyclic redundancy checker (crc) unit the crc module is a configurable mu ltiple data flow unit to compute crc signature s on data written to its input register. the crc unit has the following features: ? 3 sets of registers to allow 3 concurrent contexts with possibly different crc computations, each with a selectable polynomial and seed ? computes 16- or 32-bit wide crc on the fly (s ingle-cycle computation) and stores result in internal register. the following standard crc polynomials are implemented: ? x 16 + x 12 + x 5 + 1 [16-bit crc-ccitt] ? x 32 + x 26 + x 23 + x 22 + x 16 + x 12 + x 11 + x 10 + x 8 + x 7 + x 5 + x 4 + x 2 + x +1 [32-bit crc-ethernet(32)] ? key engine to be coupled with communication periphery where crc application is added to allow implementation of safe communication protocol ? offloads core from cycle-consuming crc and he lps checking configuration signature for safe start-up or periodic procedures ? crc unit connected as periphera l bus on internal peripheral bus ? dma support 2.5.37 redundancy control and checker unit (rccu) the rccu checks all outputs of the sphere of replicat ion (addresses, data, cont rol signals). it has the following features: ? duplicated module to guarantee highest possi ble diagnostic coverage (check of checker) ? multiple times replicated ips are used as checkers on the sor outputs 2.5.38 voltage regulator / power management unit (pmu) the on-chip voltage regulator module provides the following features: ? single external rail required ? single high supply required: nominal 3.3 v for packaged option ? packaged option requires external ballast transistor due to reduced dissipation ca pacity at high temperature but can use embedded tr ansistor if power dissipation is maintained within package dissipation capacity (lower frequency of operation) ? all i/os are at same voltage as external supply (3.3 v nominal) ? duplicated low-voltage detect ors (lvd) to guarantee proper ope ration at all stages (reset, configuration, normal operation) and, to maximize safety coverage , one lvd can be tested while the other operates (on-li ne self-testing feature)
pxs20 product brief, rev. 1 features freescale semiconductor 26 2.5.39 built-in self-test (bist) capability this device includes the following protection against latent faults: ? boot-time memory built-in self-test (mbist) ? boot-time scan-based logic built-in self-test (lbist) ? run-time adc built-in self-test (bist) ? run-time built-in self test of lvds 2.5.40 ieee 1149.1 jtag controller (jtagc) the jtagc block provides the means to test chip functionality and connectivity while remaining transparent to system logic when not in test mode. all data input to and output from the jtagc block is communicated in serial format. the jtagc bl ock is compliant with the ieee standard. the jtag controller provides the following features: ? ieee test access port (tap) interface with 5 pins: ?tdi ?tms ?tck ?tdo ?jcomp ? selectable modes of operation include jtagc/debug or normal system operation ? 5-bit instruction register that supports the following ieee 1149.1-2001 defined instructions: ?bypass ?idcode ?extest ?sample ? sample/preload ? 3 test data registers: a bypass register, a boundary scan register, and a device identification register. the size of the boundary scan regist er is parameterized to support a variety of boundary scan chain lengths. ? tap controller state machine that controls the ope ration of the data register s, instruction register and associated circuitry 2.5.41 nexus port controller (npc) the npc module provides real-time de velopment support capabilities for this device in compliance with the ieee-isto 5001-2008 standard. this development support is supplied for mcus without requiring external address and data pi ns for internal visibility. the npc block interfaces to the host processor and in ternal buses to provide development support as per the ieee-isto 5001-2008 class 3+, including sel ected features from class 4 standard.
developer support pxs20 product brief, rev. 1 freescale semiconductor 27 the development support provided in cludes program trace, data trace, wa tchpoint trace, ownership trace, run-time access to the mcus internal memo ry map and access to the power architecture ? internal registers during halt. the nexus inte rface also supports a jtag only m ode using only the jtag pins. the following features are implemented: ? full and reduced port modes ? mcko (message clock out) pin ? 4 or 12 mdo (message data out) pins 1 ?2 mseo (message start/end out) pins ?evto (event out) pin ? auxiliary input port ?evti (event in) pin ? 5-pin jtag port (jcomp, tdi, tdo, tms, and tck) ? supports jtag mode ? host processor (e200) de velopment support features ? data trace via data write messaging (dwm) and da ta read messaging (drm). this allows the development tool to trace reads or writes, or both, to select ed internal memory resources. ? ownership trace via ownership trace messaging (otm). otm facilitates ownership trace by providing visibility of which pr ocess id or operating system ta sk is activated. an ownership trace message is transmitted wh en a new process/task is activ ated, allowing development tools to trace ownership flow. ? program trace via branch trac e messaging (btm). branch trac e messaging displays program flow discontinuities (direct branches, indirect branches, exceptions, etc.), allowing the development tool to interpolate what transpires between the disc ontinuities. thus, static code may be traced. ? watchpoint messaging (wpm ) via the auxiliary port ? watchpoint trigger enable of pr ogram and/or data trace messaging ? data tracing of instruction fetches via private opcodes 3 developer support this family of mcus is suppported by freescale's to wer development system as well as a broad set of advanced debug and runtime software: ? codewarrior ?freemaster ?mqx ? rappid init ? rappid toolbox 1. 4 mdo pins on 144 lq fp package, 12 mdo pins on 257 mapbga package.
pxs20 product brief, rev. 1 orderable parts freescale semiconductor 28 ? green hills 4 orderable parts 5 revision history table 4 summarizes revisions to this document. table 3. orderable part number summary part number flash/sram package speed (mhz) mpxs2005vlq80 512 kb / 128 kb 144 lqfp (20 mm x 20 mm) 80 mpxs2010vlq80 1 mb / 128 kb 144 lqfp (20 mm x 20 mm) 80 mpxs2010vmm80 1mb / 128kb 257 mapbga (14 mm x 14 mm) 80 mpxs2010vlq120 1 mb / 128 kb 144 lqfp (20 mm x 20 mm) 120 mpxs2010vmm120 1mb / 128kb 257 mapbga (14 mm x 14 mm) 120 table 4. revision history revision (date) description rev. 1 (june 2011) initial release. mpx 20 note: not all options are available on all devices. see ta bl e 3 for more information. s qualification status brand family class flash memory size temperature range v = ?40 c to 105 c operating frequency 80 = 80 mhz tape and reel status r = tape and reel (blank) = trays qualification status p = pre-qualification (engineering samples) m = fully spec. qualified, general market flow s = fully spec. qualified, automotive flow 10 v temperature range mm package identifier 120 r operating frequency tape and reel indicator package identifier lq = 144 lqfp 120 = 120 mhz (ambient) mm = 257 mapbga family d = display graphics n = connectivity/network r = performance/real tiime control s=safety flash memory size 05 = 512 kb 10 = 1 mb
revision history pxs20 product brief, rev. 1 freescale semiconductor 29
document number: pxs20pb rev. 1 2011 how to reach us: home page: www.freescale.com web support: http://www.freescale.com/support usa/europe or locations not listed: freescale semiconductor, inc. technical information center, el516 2100 east elliot road tempe, arizona 85284 1-800-521-6274 or +1-480-768-2130 www.freescale.com/support europe, middle east, and africa: freescale halbleiter deutschland gmbh technical information center schatzbogen 7 81829 muenchen, germany +44 1296 380 456 (english) +46 8 52200080 (english) +49 89 92103 559 (german) +33 1 69 35 48 48 (french) www.freescale.com/support japan: freescale semiconductor japan ltd. headquarters arco tower 15f 1-8-1, shimo-meguro, meguro-ku, tokyo 153-0064 japan 0120 191014 or +81 3 5437 9125 support.japan@freescale.com asia/pacific: freescale semiconductor china ltd. exchange building 23f no. 118 jianguo road chaoyang district beijing 100022 china +86 10 5879 8000 support.asia@freescale.com freescale semiconductor literature distribution center 1-800-441-2447 or +1-303-675-2140 fax: +1-303-675-2150 ldcforfreescalesemiconduc tor@hibbertgroup.com information in this document is provid ed solely to enable system and software implementers to use freescale semiconduc tor products. there are no express or implied copyright licenses granted hereunder to design or fabricate any integrated circuits or integrated circuits based on the information in this document. freescale semiconductor reserves the right to make changes without further notice to any products herein. freescale semiconductor makes no warranty, representation or guarantee regarding the suitability of its products for any particular purpose, nor does freescale semiconductor assume any liability arising out of the application or use of any product or circuit, and specif ically disclaims any and all liability, including without limitation consequential or incidental damages. ?typical? parameters that may be provided in freescale semiconductor data s heets and/or specifications can and do vary in different applications and actual performance may vary over time. all operating parameters, including ?typicals?, must be validated for each customer application by customer?s technical experts. freescale semiconductor does not convey any license under its patent rights nor the rights of others. freescale semiconductor products are not designed, intended, or authorized for use as components in systems intended for surgical implant into the body, or other applic ations intended to support or sustain life, or for any other application in which the failure of the freescale semiconductor product could create a situation where personal injury or death may occur. should buyer purchase or use freescale semiconductor products for any such unintended or unauthorized application, buyer shall indemnify and hold freescale semiconductor and its officers, employees, subsidiaries, affiliates, and distributors harmless against all claims, costs, damages, and expenses, and reasonable attorney fees arising out of, directly or indirectly, any claim of personal injury or death associated with such unintended or unauthorized use, even if such claim alleges that freescale semiconductor was negligent regarding the design or manufacture of the part. rohs-compliant and/or pb-free versions of freescale products have the functionality and electrical characteristics as thei r non-rohs-compliant and/or non-pb-free counterparts. for further information, see http://www.freescale.com or contact your freescale sales representative. for information on freescale?s environmental products program, go to http://www.freescale.com/epp . freescale? and the freescale logo are trademarks of freescale semiconductor, inc. all other product or service names are the property of their respective owners. ? freescale semiconductor, inc. 2011. all rights reserved.


▲Up To Search▲   

 
Price & Availability of PPXD2005VMM80R

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X